aboutsummaryrefslogtreecommitdiff
path: root/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d
diff options
context:
space:
mode:
Diffstat (limited to 'cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d')
-rw-r--r--cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d6
1 files changed, 3 insertions, 3 deletions
diff --git a/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d b/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d
index b7ffbfa4329c..63a850133b3f 100644
--- a/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d
+++ b/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.system.d
@@ -35,11 +35,11 @@ BEGIN
this->b = -2;
system("echo %s %d %d", "foo", this->a, this->b);
- system("ping localhost");
+ system("ping -q -c 1 localhost 2>/dev/null | grep -v '^round-trip '");
system("echo %d", ++this->a);
- system("ping localhost");
+ system("ping -q -c 1 localhost 2>/dev/null | grep -v '^round-trip '");
system("echo %d", ++this->a);
- system("ping localhost");
+ system("ping -q -c 1 localhost 2>/dev/null | grep -v '^round-trip '");
system("echo %d", ++this->a);
exit(0);
}