aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorYuri Victorovich <yuri@FreeBSD.org>2022-08-28 20:52:02 +0000
committerYuri Victorovich <yuri@FreeBSD.org>2022-08-28 20:56:19 +0000
commit91557a8161b155c09fcf55a4ed658ac91c91c4aa (patch)
tree1748b397a3f18d370d58ddcf84f2197dcca06a88
parenta594c6fdc07459e51f1cf042d499e2ac9bc91de9 (diff)
downloadports-91557a8161b155c09fcf55a4ed658ac91c91c4aa.tar.gz
ports-91557a8161b155c09fcf55a4ed658ac91c91c4aa.zip
cad/surelog: Update 0.0-3950 -> 1.36
Reported by: portscout
-rw-r--r--cad/surelog/Makefile17
-rw-r--r--cad/surelog/distinfo22
-rw-r--r--cad/surelog/files/patch-CMakeLists.txt13
-rw-r--r--cad/surelog/files/patch-third__party_antlr4__fast_runtime_Cpp_runtime_CMakeLists.txt61
-rw-r--r--cad/surelog/pkg-plist146
5 files changed, 107 insertions, 152 deletions
diff --git a/cad/surelog/Makefile b/cad/surelog/Makefile
index 2ec2edfd740c..04d872b9a964 100644
--- a/cad/surelog/Makefile
+++ b/cad/surelog/Makefile
@@ -1,7 +1,6 @@
PORTNAME= surelog
DISTVERSIONPREFIX= v
-DISTVERSION= 0.0-3950
-DISTVERSIONSUFFIX= -g88ae53316
+DISTVERSION= 1.36
CATEGORIES= cad
MAINTAINER= yuri@FreeBSD.org
@@ -18,31 +17,31 @@ BUILD_DEPENDS= utf8cpp>0:devel/utf8cpp \
LIB_DEPENDS= libtcmalloc.so:devel/google-perftools
USES= cmake compiler:c++17-lang localbase:ldflags tcl:86,build
-USE_JAVA= yes
+USE_JAVA= 17
USE_LDCONFIG= yes
USE_GITHUB= yes
GH_ACCOUNT= chipsalliance
GH_PROJECT= Surelog
-GH_TUPLE= \
- alainmarcel:antlr4:18ca2ee:antlr4/third_party/antlr4 \
- chipsalliance:UHDM:5a72785:UHDM/third_party/UHDM \
+GH_TUPLE= alainmarcel:antlr4:2846660:antlr4/third_party/antlr4 \
+ chipsalliance:UHDM:75eb335:UHDM/third_party/UHDM \
capnproto:capnproto:14f24a4:UHDM_capnproto/third_party/UHDM/third_party/capnproto \
- google:googletest:1b18723:googletest/third_party/googletest \
- google:flatbuffers:f28c2b2:flatbuffers/third_party/flatbuffers
+ google:googletest:25cc577:googletest/third_party/googletest \
+ google:flatbuffers:799cc8f:flatbuffers/third_party/flatbuffers
CMAKE_ON= BUILD_SHARED_LIBS
+CMAKE_ARGS= -DFREEBSD_JAVA_VERSION=${USE_JAVA}
CONFLICTS_INSTALL= capnproto capnproto080 uhdm # bin/uhdm-dump lib/libcapnp.so
BINARY_ALIAS= python3=${PYTHON_CMD} tclsh=${TCLSH}
OPTIONS_DEFINE= PYTHON
+OPTIONS_SUB= yes
PYTHON_USES= python
PYTHON_USES_OFF= python:build
PYTHON_CMAKE_BOOL= SURELOG_WITH_PYTHON
PYTHON_CMAKE_ON= -DFREEBSD_PYTHON_DISTVERSION=${PYTHON_DISTVERSION}
-PYTHON_BROKEN= see https://github.com/chipsalliance/Surelog/issues/2373
.include <bsd.port.mk>
diff --git a/cad/surelog/distinfo b/cad/surelog/distinfo
index d96884f6f26c..11bc39b701c0 100644
--- a/cad/surelog/distinfo
+++ b/cad/surelog/distinfo
@@ -1,13 +1,13 @@
-TIMESTAMP = 1641067303
-SHA256 (chipsalliance-Surelog-v0.0-3950-g88ae53316_GH0.tar.gz) = d8c9147c0072b965440393e5ced925a51f897d99bf23e63d33cf368f7f1e68e1
-SIZE (chipsalliance-Surelog-v0.0-3950-g88ae53316_GH0.tar.gz) = 86771635
-SHA256 (alainmarcel-antlr4-18ca2ee_GH0.tar.gz) = 77f1b3586e29b773f84adc6ef000a015fc3eec9c2af6008b087e55a494cdfd45
-SIZE (alainmarcel-antlr4-18ca2ee_GH0.tar.gz) = 6777084
-SHA256 (chipsalliance-UHDM-5a72785_GH0.tar.gz) = 07f86d1fb8fb6244e6c2ff21289c9ba3b9ecdec867c7d03b2176e6bb726aa951
-SIZE (chipsalliance-UHDM-5a72785_GH0.tar.gz) = 1699532
+TIMESTAMP = 1661709290
+SHA256 (chipsalliance-Surelog-v1.36_GH0.tar.gz) = c64c068ffaa254bfa0747430abc0c270c6ca7e161daf554e27d377e92e2a813f
+SIZE (chipsalliance-Surelog-v1.36_GH0.tar.gz) = 88144362
+SHA256 (alainmarcel-antlr4-2846660_GH0.tar.gz) = 87bbbbd885fbb91330d760e93a8d77104092024a528901a90849a49680c08b96
+SIZE (alainmarcel-antlr4-2846660_GH0.tar.gz) = 4261448
+SHA256 (chipsalliance-UHDM-75eb335_GH0.tar.gz) = ed8767895d0305cc0ae0aefe71fb6aa7a1579a664ec1efdb522f621ceb5c103f
+SIZE (chipsalliance-UHDM-75eb335_GH0.tar.gz) = 1739064
SHA256 (capnproto-capnproto-14f24a4_GH0.tar.gz) = 1130e78658e8b1ceb3a69a6b3a964913f22505532ab217299e5600f371922877
SIZE (capnproto-capnproto-14f24a4_GH0.tar.gz) = 2121570
-SHA256 (google-googletest-1b18723_GH0.tar.gz) = c51c5ce6577f1e2972e53ddb7df4e072c8e4091090d281a7eb753717e545c0b1
-SIZE (google-googletest-1b18723_GH0.tar.gz) = 832180
-SHA256 (google-flatbuffers-f28c2b2_GH0.tar.gz) = 0c36feddf752c7eaaa43254a1efd63f3ebf3886ab97d488b03b5396c209e6046
-SIZE (google-flatbuffers-f28c2b2_GH0.tar.gz) = 1686020
+SHA256 (google-googletest-25cc577_GH0.tar.gz) = 0677c9ddde3a63159185090ca184947f6264a60c5e52bba0613e4f9ea1157add
+SIZE (google-googletest-25cc577_GH0.tar.gz) = 855293
+SHA256 (google-flatbuffers-799cc8f_GH0.tar.gz) = 4a56eb2636fbe4cce99b1193af9851c0bbb2783f08d0a199d4870b9c2c515374
+SIZE (google-flatbuffers-799cc8f_GH0.tar.gz) = 2019522
diff --git a/cad/surelog/files/patch-CMakeLists.txt b/cad/surelog/files/patch-CMakeLists.txt
index a9d1360b00b2..4fb99220f363 100644
--- a/cad/surelog/files/patch-CMakeLists.txt
+++ b/cad/surelog/files/patch-CMakeLists.txt
@@ -1,6 +1,6 @@
---- CMakeLists.txt.orig 2022-01-01 21:16:17 UTC
+--- CMakeLists.txt.orig 2022-08-20 02:45:49 UTC
+++ CMakeLists.txt
-@@ -45,7 +45,7 @@ set(GENDIR ${CMAKE_CURRENT_BINARY_DIR}/generated)
+@@ -53,7 +53,7 @@ set(GENDIR ${CMAKE_CURRENT_BINARY_DIR}/generated)
# Python
if (SURELOG_WITH_PYTHON)
@@ -9,3 +9,12 @@
find_package(SWIG 3.0 REQUIRED)
message(STATUS "Python3_LIBRARIES = ${Python3_LIBRARIES}")
message(STATUS "Python3_EXECUTABLE = ${Python3_EXECUTABLE}")
+@@ -140,7 +140,7 @@ add_custom_command(
+ ${FLATBUFFERS_FLATC_EXECUTABLE})
+
+ # Java
+-find_package(Java 11 REQUIRED COMPONENTS Runtime)
++find_package(Java ${FREEBSD_JAVA_VERSION} REQUIRED COMPONENTS Runtime)
+ message(STATUS "Java_JAVA_EXECUTABLE = ${Java_JAVA_EXECUTABLE}")
+ set(ANTLR_JAR_LOCATION
+ ${PROJECT_SOURCE_DIR}/third_party/antlr4_bin/antlr-4.10-complete.jar)
diff --git a/cad/surelog/files/patch-third__party_antlr4__fast_runtime_Cpp_runtime_CMakeLists.txt b/cad/surelog/files/patch-third__party_antlr4__fast_runtime_Cpp_runtime_CMakeLists.txt
deleted file mode 100644
index a69407fd6725..000000000000
--- a/cad/surelog/files/patch-third__party_antlr4__fast_runtime_Cpp_runtime_CMakeLists.txt
+++ /dev/null
@@ -1,61 +0,0 @@
-- workaround for https://github.com/chipsalliance/Surelog/issues/2349
-- ... replace bundled utfcpp with the external build-time dependency
-
---- third_party/antlr4_fast/runtime/Cpp/runtime/CMakeLists.txt.orig 2021-12-27 08:04:15 UTC
-+++ third_party/antlr4_fast/runtime/Cpp/runtime/CMakeLists.txt
-@@ -1,6 +1,7 @@
-
- include(${CMAKE_ROOT}/Modules/ExternalProject.cmake)
-
-+if (FALSE)
- set(THIRDPARTY_DIR ${CMAKE_BINARY_DIR}/runtime/thirdparty)
- set(UTFCPP_DIR ${THIRDPARTY_DIR}/utfcpp)
- ExternalProject_Add(
-@@ -12,8 +13,8 @@ ExternalProject_Add(
- CMAKE_ARGS -DCMAKE_INSTALL_PREFIX=${UTFCPP_DIR}/install -Dgtest_force_shared_crt=ON -DUTF8_TESTS=OFF
- TEST_AFTER_INSTALL 0
- STEP_TARGETS build)
-+endif()
-
--
- include_directories(
- ${PROJECT_SOURCE_DIR}/runtime/src
- ${PROJECT_SOURCE_DIR}/runtime/src/atn
-@@ -23,8 +24,8 @@ include_directories(
- ${PROJECT_SOURCE_DIR}/runtime/src/tree
- ${PROJECT_SOURCE_DIR}/runtime/src/tree/pattern
- ${PROJECT_SOURCE_DIR}/runtime/src/tree/xpath
-- ${UTFCPP_DIR}/install/include/utf8cpp
-- ${UTFCPP_DIR}/install/include/utf8cpp/utf8
-+ #${UTFCPP_DIR}/install/include/utf8cpp
-+ #${UTFCPP_DIR}/install/include/utf8cpp/utf8
- )
-
-
-@@ -50,8 +51,8 @@ add_custom_target(make_lib_output_dir ALL
- COMMAND ${CMAKE_COMMAND} -E make_directory ${LIB_OUTPUT_DIR}
- )
-
--add_dependencies(antlr4_shared make_lib_output_dir utfcpp)
--add_dependencies(antlr4_static make_lib_output_dir utfcpp)
-+add_dependencies(antlr4_shared make_lib_output_dir)
-+add_dependencies(antlr4_static make_lib_output_dir)
-
- if(CMAKE_SYSTEM_NAME MATCHES "Linux")
- target_link_libraries(antlr4_shared ${UUID_LIBRARIES})
-@@ -131,6 +132,7 @@ install(DIRECTORY "${PROJECT_SOURCE_DIR}/runtime/src/"
- FILES_MATCHING PATTERN "*.h"
- )
-
-+if (FALSE)
- install(FILES "${UTFCPP_DIR}/source/utf8.h"
- DESTINATION "include/antlr4-runtime")
- install(DIRECTORY "${UTFCPP_DIR}/source/utf8"
-@@ -138,6 +140,6 @@ install(DIRECTORY "${UTFCPP_DIR}/source/utf8"
- COMPONENT dev
- FILES_MATCHING PATTERN "*.h"
- )
--
-+endif()
-
-
diff --git a/cad/surelog/pkg-plist b/cad/surelog/pkg-plist
index 0d1b2e6120be..13e8904a27ed 100644
--- a/cad/surelog/pkg-plist
+++ b/cad/surelog/pkg-plist
@@ -4,77 +4,84 @@ bin/uhdm-hier
cmake/Surelog-%%CMAKE_BUILD_TYPE%%.cmake
cmake/Surelog.cmake
cmake/SurelogConfig.cmake
-include/surelog/API/PythonAPI.h
-include/surelog/API/SLAPI.h
-include/surelog/API/Surelog.h
-include/surelog/CommandLine/CommandLineParser.h
-include/surelog/Common/ClockingBlockHolder.h
-include/surelog/Common/PortNetHolder.h
-include/surelog/Common/RTTI.h
-include/surelog/Config/Config.h
-include/surelog/Config/ConfigSet.h
-include/surelog/Design/BindStmt.h
-include/surelog/Design/ClockingBlock.h
-include/surelog/Design/DataType.h
-include/surelog/Design/DefParam.h
-include/surelog/Design/Design.h
-include/surelog/Design/DesignComponent.h
-include/surelog/Design/DesignElement.h
-include/surelog/Design/DummyType.h
-include/surelog/Design/Enum.h
-include/surelog/Design/FileCNodeId.h
-include/surelog/Design/FileContent.h
-include/surelog/Design/Function.h
-include/surelog/Design/Instance.h
-include/surelog/Design/ModPort.h
-include/surelog/Design/ModuleDefinition.h
-include/surelog/Design/ModuleInstance.h
-include/surelog/Design/Netlist.h
-include/surelog/Design/ParamAssign.h
-include/surelog/Design/Parameter.h
-include/surelog/Design/Scope.h
-include/surelog/Design/Signal.h
-include/surelog/Design/SimpleType.h
-include/surelog/Design/Statement.h
-include/surelog/Design/Struct.h
-include/surelog/Design/Task.h
-include/surelog/Design/TfPortItem.h
-include/surelog/Design/TimeInfo.h
-include/surelog/Design/Union.h
-include/surelog/Design/VObject.h
-include/surelog/Design/ValuedComponentI.h
-include/surelog/DesignCompile/CompileHelper.h
-include/surelog/ErrorReporting/Error.h
-include/surelog/ErrorReporting/ErrorContainer.h
-include/surelog/ErrorReporting/ErrorDefinition.h
-include/surelog/ErrorReporting/Location.h
-include/surelog/ErrorReporting/LogListener.h
-include/surelog/ErrorReporting/Report.h
-include/surelog/ErrorReporting/Waiver.h
-include/surelog/Expression/ExprBuilder.h
-include/surelog/Expression/Value.h
-include/surelog/Library/Library.h
-include/surelog/Library/LibrarySet.h
-include/surelog/Package/Package.h
-include/surelog/SourceCompile/SymbolTable.h
-include/surelog/SourceCompile/VObjectTypes.h
-include/surelog/Testbench/ClassDefinition.h
-include/surelog/Testbench/ClassObject.h
-include/surelog/Testbench/Constraint.h
-include/surelog/Testbench/CoverGroupDefinition.h
-include/surelog/Testbench/FunctionMethod.h
-include/surelog/Testbench/Program.h
-include/surelog/Testbench/Property.h
-include/surelog/Testbench/TaskMethod.h
-include/surelog/Testbench/TypeDef.h
-include/surelog/Testbench/Variable.h
-include/surelog/surelog.h
+include/Surelog/API/PythonAPI.h
+include/Surelog/API/SLAPI.h
+include/Surelog/API/Surelog.h
+include/Surelog/CommandLine/CommandLineParser.h
+include/Surelog/Common/ClockingBlockHolder.h
+include/Surelog/Common/Containers.h
+include/Surelog/Common/NodeId.h
+include/Surelog/Common/PortNetHolder.h
+include/Surelog/Common/RTTI.h
+include/Surelog/Common/SymbolId.h
+include/Surelog/Config/Config.h
+include/Surelog/Config/ConfigSet.h
+include/Surelog/Design/BindStmt.h
+include/Surelog/Design/ClockingBlock.h
+include/Surelog/Design/DataType.h
+include/Surelog/Design/DefParam.h
+include/Surelog/Design/Design.h
+include/Surelog/Design/DesignComponent.h
+include/Surelog/Design/DesignElement.h
+include/Surelog/Design/DummyType.h
+include/Surelog/Design/Enum.h
+include/Surelog/Design/FileCNodeId.h
+include/Surelog/Design/FileContent.h
+include/Surelog/Design/Function.h
+include/Surelog/Design/Instance.h
+include/Surelog/Design/LetStmt.h
+include/Surelog/Design/ModPort.h
+include/Surelog/Design/ModuleDefinition.h
+include/Surelog/Design/ModuleInstance.h
+include/Surelog/Design/Netlist.h
+include/Surelog/Design/ParamAssign.h
+include/Surelog/Design/Parameter.h
+include/Surelog/Design/Scope.h
+include/Surelog/Design/Signal.h
+include/Surelog/Design/SimpleType.h
+include/Surelog/Design/Statement.h
+include/Surelog/Design/Struct.h
+include/Surelog/Design/Task.h
+include/Surelog/Design/TfPortItem.h
+include/Surelog/Design/TimeInfo.h
+include/Surelog/Design/Union.h
+include/Surelog/Design/VObject.h
+include/Surelog/Design/ValuedComponentI.h
+include/Surelog/DesignCompile/CompileHelper.h
+include/Surelog/ErrorReporting/Error.h
+include/Surelog/ErrorReporting/ErrorContainer.h
+include/Surelog/ErrorReporting/ErrorDefinition.h
+include/Surelog/ErrorReporting/Location.h
+include/Surelog/ErrorReporting/LogListener.h
+include/Surelog/ErrorReporting/Report.h
+include/Surelog/ErrorReporting/Waiver.h
+include/Surelog/Expression/ExprBuilder.h
+include/Surelog/Expression/Value.h
+include/Surelog/Library/Library.h
+include/Surelog/Library/LibrarySet.h
+include/Surelog/Package/Package.h
+include/Surelog/SourceCompile/SymbolTable.h
+include/Surelog/SourceCompile/VObjectTypes.h
+include/Surelog/Testbench/ClassDefinition.h
+include/Surelog/Testbench/ClassObject.h
+include/Surelog/Testbench/Constraint.h
+include/Surelog/Testbench/CoverGroupDefinition.h
+include/Surelog/Testbench/FunctionMethod.h
+include/Surelog/Testbench/Program.h
+include/Surelog/Testbench/Property.h
+include/Surelog/Testbench/TaskMethod.h
+include/Surelog/Testbench/TypeDef.h
+include/Surelog/Testbench/Variable.h
+include/Surelog/surelog.h
include/uhdm/BaseClass.h
include/uhdm/ElaboratorListener.h
include/uhdm/ExprEval.h
include/uhdm/RTTI.h
include/uhdm/Serializer.h
include/uhdm/SymbolFactory.h
+include/uhdm/SynthSubset.h
+include/uhdm/UhdmLint.h
+include/uhdm/UhdmListener.h
include/uhdm/VpiListener.h
include/uhdm/VpiListenerTracer.h
include/uhdm/actual_group.h
@@ -185,7 +192,8 @@ include/uhdm/immediate_assert.h
include/uhdm/immediate_assume.h
include/uhdm/immediate_cover.h
include/uhdm/implication.h
-include/uhdm/import.h
+include/uhdm/import_typespec.h
+include/uhdm/include_file_info.h
include/uhdm/indexed_part_select.h
include/uhdm/initial.h
include/uhdm/instance.h
@@ -341,7 +349,6 @@ include/uhdm/variables_operation_group.h
include/uhdm/vhpi_user.h
include/uhdm/virtual_interface_var.h
include/uhdm/void_typespec.h
-include/uhdm/vpi_listener.h
include/uhdm/vpi_uhdm.h
include/uhdm/vpi_user.h
include/uhdm/vpi_visitor.h
@@ -361,6 +368,7 @@ lib/surelog/pkg/work/ovm_pkg.sv.slpa
lib/surelog/pkg/work/ovm_pkg.sv.slpp
lib/surelog/pkg/work/uvm_pkg.sv.slpa
lib/surelog/pkg/work/uvm_pkg.sv.slpp
+%%PYTHON%%lib/surelog/python/slSV3_1aPythonListener.py
+%%PYTHON%%lib/surelog/python/slformatmsg.py
+%%PYTHON%%lib/surelog/python/slwaivers.py
lib/uhdm/UHDM.capnp
-@dir lib/surelog/python
-@dir lib/surelog/sv