aboutsummaryrefslogtreecommitdiff
path: root/cad
diff options
context:
space:
mode:
authorBaptiste Daroussin <bapt@FreeBSD.org>2014-09-01 12:03:09 +0000
committerBaptiste Daroussin <bapt@FreeBSD.org>2014-09-01 12:03:09 +0000
commit5c9c2e45c84edab906daf4916983ee059d0ab56d (patch)
tree14d1a12d3ae8a4e88dac5faa1cd7189b981a4c80 /cad
parentb18779f027aee8f8e51c17f1e0b9208fc752b98a (diff)
downloadports-5c9c2e45c84edab906daf4916983ee059d0ab56d.tar.gz
ports-5c9c2e45c84edab906daf4916983ee059d0ab56d.zip
Remove non staged ports without pending PR from c*
Notes
Notes: svn path=/head/; revision=366865
Diffstat (limited to 'cad')
-rw-r--r--cad/Makefile2
-rw-r--r--cad/scv/Makefile38
-rw-r--r--cad/scv/distinfo2
-rw-r--r--cad/scv/files/patch-Makefile.in12
-rw-r--r--cad/scv/files/patch-configure49
-rw-r--r--cad/scv/files/patch-scripts-Makefile.in20
-rw-r--r--cad/scv/files/patch-scripts-copyExamples.sh26
-rw-r--r--cad/scv/files/patch-scripts-copyFiles.sh.in11
-rw-r--r--cad/scv/files/patch-src-scv-scv_init_seed.cpp11
-rw-r--r--cad/scv/pkg-descr7
-rw-r--r--cad/scv/pkg-plist229
-rw-r--r--cad/systemc/Makefile47
-rw-r--r--cad/systemc/distinfo2
-rw-r--r--cad/systemc/files/patch-configure36
-rw-r--r--cad/systemc/pkg-descr9
-rw-r--r--cad/systemc/pkg-plist355
16 files changed, 0 insertions, 856 deletions
diff --git a/cad/Makefile b/cad/Makefile
index 18637fdba3f2..1f00b7b1debe 100644
--- a/cad/Makefile
+++ b/cad/Makefile
@@ -78,11 +78,9 @@
SUBDIR += repsnapper
SUBDIR += sceptre
SUBDIR += scotch
- SUBDIR += scv
SUBDIR += slffea
SUBDIR += spice
SUBDIR += sweethome3d
- SUBDIR += systemc
SUBDIR += tochnog
SUBDIR += transcalc
SUBDIR += varkon
diff --git a/cad/scv/Makefile b/cad/scv/Makefile
deleted file mode 100644
index 78a63e54ddd5..000000000000
--- a/cad/scv/Makefile
+++ /dev/null
@@ -1,38 +0,0 @@
-# Created by: Peter Johnson
-# $FreeBSD$
-
-PORTNAME= scv
-PORTVERSION= 1.0p2
-CATEGORIES= cad devel
-MASTER_SITES= # you have to register and manually fetch
-EXTRACT_SUFX= -sysc2.2.12jun06.tgz
-
-MAINTAINER= ports@FreeBSD.org
-COMMENT= SystemC Verification library
-
-BUILD_DEPENDS= ${LOCALBASE}/systemc/lib-freebsd/libsystemc.a:${PORTSDIR}/cad/systemc
-RUN_DEPENDS= ${LOCALBASE}/systemc/lib-freebsd/libsystemc.a:${PORTSDIR}/cad/systemc
-
-RESTRICTED= You have to register at http://www.systemc.org/account/register.php first
-
-USES= gmake
-HAS_CONFIGURE= yes
-
-WRKSRC= ${WRKDIR}/scv-1.0p2-sysc2.2
-CONFIGURE_SCRIPT= ../configure
-CONFIGURE_ARGS= --with-systemc=${LOCALBASE}/systemc ${CONFIGURE_TARGET}
-CONFIGURE_WRKSRC= ${WRKSRC}/objdir
-BUILD_WRKSRC= ${WRKSRC}/objdir
-INSTALL_WRKSRC= ${WRKSRC}/objdir
-
-NO_STAGE= yes
-.include <bsd.port.pre.mk>
-
-.if !exists(${DISTDIR}/${DISTNAME}${EXTRACT_SUFX})
-IGNORE= is restricted. You have to register at http://www.systemc.org/account/register.php and download ${DISTFILES} from there first. Then put ${DISTFILES} into ${DISTDIR} and run make again
-.endif
-
-pre-configure:
- @${MKDIR} ${WRKSRC}/objdir
-
-.include <bsd.port.post.mk>
diff --git a/cad/scv/distinfo b/cad/scv/distinfo
deleted file mode 100644
index 732b6242ea0a..000000000000
--- a/cad/scv/distinfo
+++ /dev/null
@@ -1,2 +0,0 @@
-SHA256 (scv-1.0p2-sysc2.2.12jun06.tgz) = e93ca7125ff8b3fa4db54d5996b387a13e45a3a6e6dab30246e50f7c5bf0efc0
-SIZE (scv-1.0p2-sysc2.2.12jun06.tgz) = 2266179
diff --git a/cad/scv/files/patch-Makefile.in b/cad/scv/files/patch-Makefile.in
deleted file mode 100644
index ad5a65902ee8..000000000000
--- a/cad/scv/files/patch-Makefile.in
+++ /dev/null
@@ -1,12 +0,0 @@
---- Makefile.in.orig Sun Feb 12 16:36:40 2006
-+++ Makefile.in Tue Dec 19 09:12:09 2006
-@@ -483,8 +483,7 @@
-
- @actual_install@ : scv_config.h
- ${copyFiles} . ${includedir}/scv scv_config.h
-- for f in ${TEXTDOCS}; do cp ${srcdir}/$$f ${prefix}/$$f.scv; done
-- for f in ${TEXTDOCS}; do chmod u+w ${prefix}/$$f.scv; done
-+ for f in ${TEXTDOCS}; do ${INSTALL_DATA} ${srcdir}/$$f ${prefix}/$$f.scv; done
-
- uninstall : uninstall-recursive
- for f in ${TEXTDOCS}; do rm -f ${prefix}/$$f.scv; done
diff --git a/cad/scv/files/patch-configure b/cad/scv/files/patch-configure
deleted file mode 100644
index 5383e89ce150..000000000000
--- a/cad/scv/files/patch-configure
+++ /dev/null
@@ -1,49 +0,0 @@
---- configure.orig Mon Jan 23 11:31:20 2006
-+++ configure Sat Dec 16 11:56:59 2006
-@@ -3647,7 +3647,7 @@
- echo "$as_me:$LINENO: checking \"for supported operating system\"" >&5
- echo $ECHO_N "checking \"for supported operating system\"... $ECHO_C" >&6
- case "$target_os" in
--solaris2.5*|solaris2.6|solaris2.7|solaris2.8|hpux11*|linux-gnu)
-+solaris2.5*|solaris2.6|solaris2.7|solaris2.8|hpux11*|linux-gnu|freebsd*)
- echo "$as_me:$LINENO: result: $target_os is supported" >&5
- echo "${ECHO_T}$target_os is supported" >&6;;
- *)
-@@ -5604,10 +5604,6 @@
- #define _USE_PADDING_ON_STRUCTS
- _ACEOF
-
-- cat >>confdefs.h <<\_ACEOF
--#define _USE_HASH_MAP
--_ACEOF
--
- fi
-
-
-@@ -5639,7 +5635,7 @@
- using namespace std;
- #include <string>
- #include <stdio.h>
--#include <strstream.h>
-+#include <sstream>
- int main()
- {
- #define STRING_SIZE 100
-@@ -5651,7 +5647,7 @@
- exit(1);
- }
-
-- ostrstream outString(buf, STRING_SIZE);
-+ ostringstream outString;
- outString << "hello world " << i;
- if (strcmp(buf,"hello world 1") != 0)
- {
-@@ -5870,6 +5866,8 @@
- *linux*)
- if test "$rh_gxx_prog" = "no"; then EXTRA_GNU_DIR="/gnu"; fi
- SYSC_TARGET="linux";;
-+ *freebsd*)
-+ SYSC_TARGET="freebsd";;
- *cygwin*)
- SYSC_TARGET="cygwin";;
- *hpux11*)
diff --git a/cad/scv/files/patch-scripts-Makefile.in b/cad/scv/files/patch-scripts-Makefile.in
deleted file mode 100644
index 8eec2ab0b320..000000000000
--- a/cad/scv/files/patch-scripts-Makefile.in
+++ /dev/null
@@ -1,20 +0,0 @@
---- scripts/Makefile.in.orig Mon Jan 23 11:31:10 2006
-+++ scripts/Makefile.in Sat Dec 16 11:32:28 2006
-@@ -243,7 +243,7 @@
-
- create_copiers :
- # create copyDir.sh
-- echo "#!/bin/sh -h" >copyDir.sh
-+ echo "#!/bin/sh" >copyDir.sh
- echo "overwrite=${overwrite_files}" >>copyDir.sh
- cat ${srcdir}/copyDir.sh.in >>copyDir.sh
- # create copyExamples.sh
-@@ -251,7 +251,7 @@
- cp ${srcdir}/copyExamples.sh .; \
- fi
- # create copyFiles.sh
-- echo "#!/bin/sh -h" >copyFiles.sh
-+ echo "#!/bin/sh" >copyFiles.sh
- echo "overwrite=${overwrite_files}" >>copyFiles.sh
- cat ${srcdir}/copyFiles.sh.in >>copyFiles.sh
- # set permissions
diff --git a/cad/scv/files/patch-scripts-copyExamples.sh b/cad/scv/files/patch-scripts-copyExamples.sh
deleted file mode 100644
index 8d80aeecfa30..000000000000
--- a/cad/scv/files/patch-scripts-copyExamples.sh
+++ /dev/null
@@ -1,26 +0,0 @@
---- scripts/copyExamples.sh.orig Thu Aug 7 08:38:02 2003
-+++ scripts/copyExamples.sh Sat Dec 16 14:51:22 2006
-@@ -1,4 +1,4 @@
--#!/bin/sh -h
-+#!/bin/sh
-
- # copyExamples.sh <srcdir> <dstdir>
-
-@@ -37,15 +37,13 @@
- for f in *[chp] *.v* *.tcl README; do
- if test -r $f; then
- rm -f $dstdir/$f
-- cp $f $dstdir
-- chmod u+w $dstdir/$f
-+ install -m 644 $f $dstdir
- fi
- done
- # only copy Makefile in leaf dirs
- if test -r Makefile -a $isleaf = "yes"; then
- rm -f $dstdir/Makefile
-- cp Makefile $dstdir
-- chmod u+w $dstdir/Makefile
-+ install -m 644 Makefile $dstdir
- fi
-
- fi
diff --git a/cad/scv/files/patch-scripts-copyFiles.sh.in b/cad/scv/files/patch-scripts-copyFiles.sh.in
deleted file mode 100644
index b9822ad8fe08..000000000000
--- a/cad/scv/files/patch-scripts-copyFiles.sh.in
+++ /dev/null
@@ -1,11 +0,0 @@
---- scripts/copyFiles.sh.in.orig Thu Aug 7 08:38:02 2003
-+++ scripts/copyFiles.sh.in Sat Dec 16 14:51:43 2006
-@@ -7,7 +7,7 @@
- cmd="ln -s"
- shift
- else
-- cmd="cp"
-+ cmd="install -m 644"
- fi
-
- srcdir=$1
diff --git a/cad/scv/files/patch-src-scv-scv_init_seed.cpp b/cad/scv/files/patch-src-scv-scv_init_seed.cpp
deleted file mode 100644
index 068a00481316..000000000000
--- a/cad/scv/files/patch-src-scv-scv_init_seed.cpp
+++ /dev/null
@@ -1,11 +0,0 @@
---- src/scv/scv_init_seed.cpp.orig Thu Aug 7 08:37:32 2003
-+++ src/scv/scv_init_seed.cpp Sat Dec 16 11:43:50 2006
-@@ -44,7 +44,7 @@
-
- #include <string.h>
-
--#ifdef __linux__
-+#if defined(__linux__) || defined(__FreeBSD__)
- # include <sys/time.h>
- #else
- #include <time.h>
diff --git a/cad/scv/pkg-descr b/cad/scv/pkg-descr
deleted file mode 100644
index fa46c1636d2a..000000000000
--- a/cad/scv/pkg-descr
+++ /dev/null
@@ -1,7 +0,0 @@
-The SystemC Verification (SCV) library is an extension library to SystemC
-which adds advanced verification capabilities to SystemC, including
-constrained randomization, complex constraint solvers, data-structure
-creation, Transaction Level Modeling (TLM), concurrency, and dynamic
-resource allocation management.
-
-WWW: http://www.systemc.org/
diff --git a/cad/scv/pkg-plist b/cad/scv/pkg-plist
deleted file mode 100644
index 27697a535fde..000000000000
--- a/cad/scv/pkg-plist
+++ /dev/null
@@ -1,229 +0,0 @@
-systemc/AUTHORS.scv
-systemc/COPYING.scv
-systemc/INSTALL.scv
-systemc/LICENSE.scv
-systemc/NEWS.scv
-systemc/README.scv
-systemc/RELEASENOTES.scv
-systemc/docs/scv/ChangeLog
-systemc/docs/scv/LICENSE
-systemc/docs/scv/README
-systemc/docs/scv/date03a2.pdf
-systemc/docs/scv/intro_slides.pdf
-systemc/docs/scv/scv_random_white_paper4.pdf
-systemc/docs/scv/scvref/vwg_1_0e.pdf
-systemc/examples/scv/Makefile.rules
-systemc/examples/scv/README
-systemc/examples/scv/data_structures/scv_bag/Makefile
-systemc/examples/scv/data_structures/scv_bag/README
-systemc/examples/scv/data_structures/scv_bag/checktest.sh
-systemc/examples/scv/data_structures/scv_bag/packet.h
-systemc/examples/scv/data_structures/scv_bag/scv_bag.vcproj
-systemc/examples/scv/data_structures/scv_bag/test.cc
-systemc/examples/scv/data_structures/scv_bag/test_reg.cc
-systemc/examples/scv/data_structures/scv_sparse_array/Makefile
-systemc/examples/scv/data_structures/scv_sparse_array/README
-systemc/examples/scv/data_structures/scv_sparse_array/checktest.sh
-systemc/examples/scv/data_structures/scv_sparse_array/packet.h
-systemc/examples/scv/data_structures/scv_sparse_array/scv_sparse_array.vcproj
-systemc/examples/scv/data_structures/scv_sparse_array/test.cc
-systemc/examples/scv/data_structures/scv_sparse_array/test_reg.cc
-systemc/examples/scv/extensions/ex_01_userdata/Makefile
-systemc/examples/scv/extensions/ex_01_userdata/README
-systemc/examples/scv/extensions/ex_01_userdata/checktest.sh
-systemc/examples/scv/extensions/ex_01_userdata/data.h
-systemc/examples/scv/extensions/ex_01_userdata/data_ext.h
-systemc/examples/scv/extensions/ex_01_userdata/ex_01_userdata.vcproj
-systemc/examples/scv/extensions/ex_01_userdata/test.cc
-systemc/examples/scv/extensions/ex_01_userdata/test_reg.cc
-systemc/examples/scv/extensions/ex_02_enumdata/Makefile
-systemc/examples/scv/extensions/ex_02_enumdata/README
-systemc/examples/scv/extensions/ex_02_enumdata/checktest.sh
-systemc/examples/scv/extensions/ex_02_enumdata/ex_02_enumdata.vcproj
-systemc/examples/scv/extensions/ex_02_enumdata/state.h
-systemc/examples/scv/extensions/ex_02_enumdata/state_ext.h
-systemc/examples/scv/extensions/ex_02_enumdata/test.cc
-systemc/examples/scv/extensions/ex_02_enumdata/test_reg.cc
-systemc/examples/scv/extensions/ex_03_nestdata/Makefile
-systemc/examples/scv/extensions/ex_03_nestdata/README
-systemc/examples/scv/extensions/ex_03_nestdata/checktest.sh
-systemc/examples/scv/extensions/ex_03_nestdata/ex_03_nestdata.vcproj
-systemc/examples/scv/extensions/ex_03_nestdata/state.h
-systemc/examples/scv/extensions/ex_03_nestdata/state_ext.h
-systemc/examples/scv/extensions/ex_03_nestdata/test.cc
-systemc/examples/scv/extensions/ex_03_nestdata/test_reg.cc
-systemc/examples/scv/extensions/introspection1/Makefile
-systemc/examples/scv/extensions/introspection1/README
-systemc/examples/scv/extensions/introspection1/introspection1.vcproj
-systemc/examples/scv/extensions/introspection1/test.cpp
-systemc/examples/scv/extensions/private/Makefile
-systemc/examples/scv/extensions/private/README
-systemc/examples/scv/extensions/private/checktest.sh
-systemc/examples/scv/extensions/private/packet.h
-systemc/examples/scv/extensions/private/private.vcproj
-systemc/examples/scv/extensions/private/test.cc
-systemc/examples/scv/extensions/private/test_reg.cc
-systemc/examples/scv/general/hello/Makefile
-systemc/examples/scv/general/hello/README
-systemc/examples/scv/general/hello/hello.vcproj
-systemc/examples/scv/general/hello/main.cpp
-systemc/examples/scv/randomization/constraints/Makefile
-systemc/examples/scv/randomization/constraints/README
-systemc/examples/scv/randomization/constraints/constraints.h
-systemc/examples/scv/randomization/constraints/constraints.vcproj
-systemc/examples/scv/randomization/constraints/packet.cpp
-systemc/examples/scv/randomization/constraints/packet.h
-systemc/examples/scv/randomization/constraints/packet_constraints.h
-systemc/examples/scv/randomization/constraints/packet_ext.h
-systemc/examples/scv/randomization/constraints/test.cpp
-systemc/examples/scv/randomization/debug/Makefile
-systemc/examples/scv/randomization/debug/README
-systemc/examples/scv/randomization/debug/debug.vcproj
-systemc/examples/scv/randomization/debug/test.cpp
-systemc/examples/scv/randomization/ex_04_simplerand/Makefile
-systemc/examples/scv/randomization/ex_04_simplerand/README
-systemc/examples/scv/randomization/ex_04_simplerand/checktest.sh
-systemc/examples/scv/randomization/ex_04_simplerand/data.h
-systemc/examples/scv/randomization/ex_04_simplerand/data_ext.h
-systemc/examples/scv/randomization/ex_04_simplerand/ex_04_simplerand.vcproj
-systemc/examples/scv/randomization/ex_04_simplerand/test.cc
-systemc/examples/scv/randomization/ex_04_simplerand/test_reg.cc
-systemc/examples/scv/randomization/ex_05_disablerand/Makefile
-systemc/examples/scv/randomization/ex_05_disablerand/README
-systemc/examples/scv/randomization/ex_05_disablerand/checktest.sh
-systemc/examples/scv/randomization/ex_05_disablerand/data.h
-systemc/examples/scv/randomization/ex_05_disablerand/data_ext.h
-systemc/examples/scv/randomization/ex_05_disablerand/ex_05_disablerand.vcproj
-systemc/examples/scv/randomization/ex_05_disablerand/test.cc
-systemc/examples/scv/randomization/ex_05_disablerand/test_reg.cc
-systemc/examples/scv/randomization/ex_07_seedfile/Makefile
-systemc/examples/scv/randomization/ex_07_seedfile/README
-systemc/examples/scv/randomization/ex_07_seedfile/checktest.sh
-systemc/examples/scv/randomization/ex_07_seedfile/data.h
-systemc/examples/scv/randomization/ex_07_seedfile/data_ext.h
-systemc/examples/scv/randomization/ex_07_seedfile/ex_07_seedfile.vcproj
-systemc/examples/scv/randomization/ex_07_seedfile/test.cc
-systemc/examples/scv/randomization/ex_07_seedfile/test_reg.cc
-systemc/examples/scv/randomization/ex_08_dist/Makefile
-systemc/examples/scv/randomization/ex_08_dist/README
-systemc/examples/scv/randomization/ex_08_dist/checktest.sh
-systemc/examples/scv/randomization/ex_08_dist/data.h
-systemc/examples/scv/randomization/ex_08_dist/data_ext.h
-systemc/examples/scv/randomization/ex_08_dist/ex_08_dist.vcproj
-systemc/examples/scv/randomization/ex_08_dist/test.cc
-systemc/examples/scv/randomization/ex_08_dist/test_reg.cc
-systemc/examples/scv/randomization/ex_09_distrange/Makefile
-systemc/examples/scv/randomization/ex_09_distrange/README
-systemc/examples/scv/randomization/ex_09_distrange/checktest.sh
-systemc/examples/scv/randomization/ex_09_distrange/data.h
-systemc/examples/scv/randomization/ex_09_distrange/data_ext.h
-systemc/examples/scv/randomization/ex_09_distrange/ex_09_distrange.vcproj
-systemc/examples/scv/randomization/ex_09_distrange/test.cc
-systemc/examples/scv/randomization/ex_09_distrange/test_reg.cc
-systemc/examples/scv/randomization/ex_10_basicconstraint/Makefile
-systemc/examples/scv/randomization/ex_10_basicconstraint/README
-systemc/examples/scv/randomization/ex_10_basicconstraint/checktest.sh
-systemc/examples/scv/randomization/ex_10_basicconstraint/ex_10_basicconstraint.vcproj
-systemc/examples/scv/randomization/ex_10_basicconstraint/test.cc
-systemc/examples/scv/randomization/ex_10_basicconstraint/test_reg.cc
-systemc/examples/scv/randomization/ex_11_softconstraint/Makefile
-systemc/examples/scv/randomization/ex_11_softconstraint/README
-systemc/examples/scv/randomization/ex_11_softconstraint/checktest.sh
-systemc/examples/scv/randomization/ex_11_softconstraint/ex_11_softconstraint.vcproj
-systemc/examples/scv/randomization/ex_11_softconstraint/test.cc
-systemc/examples/scv/randomization/ex_11_softconstraint/test_reg.cc
-systemc/examples/scv/randomization/ex_12_hier_constraint/Makefile
-systemc/examples/scv/randomization/ex_12_hier_constraint/README
-systemc/examples/scv/randomization/ex_12_hier_constraint/checktest.sh
-systemc/examples/scv/randomization/ex_12_hier_constraint/ex_12_hier_constraint.vcproj
-systemc/examples/scv/randomization/ex_12_hier_constraint/packet.h
-systemc/examples/scv/randomization/ex_12_hier_constraint/packet_constraint.h
-systemc/examples/scv/randomization/ex_12_hier_constraint/packet_ext.h
-systemc/examples/scv/randomization/ex_12_hier_constraint/test.cc
-systemc/examples/scv/randomization/ex_12_hier_constraint/test_reg.cc
-systemc/examples/scv/randomization/ex_13_custom_next/Makefile
-systemc/examples/scv/randomization/ex_13_custom_next/README
-systemc/examples/scv/randomization/ex_13_custom_next/checktest.sh
-systemc/examples/scv/randomization/ex_13_custom_next/constraint.h
-systemc/examples/scv/randomization/ex_13_custom_next/ex_13_custom_next.vcproj
-systemc/examples/scv/randomization/ex_13_custom_next/test.cc
-systemc/examples/scv/randomization/ex_13_custom_next/test.h
-systemc/examples/scv/randomization/ex_13_custom_next/test_reg.cc
-systemc/examples/scv/randomization/pythagoras/Makefile
-systemc/examples/scv/randomization/pythagoras/README
-systemc/examples/scv/randomization/pythagoras/pythagoras.vcproj
-systemc/examples/scv/randomization/pythagoras/test.cpp
-systemc/examples/scv/transactions/overview/Makefile
-systemc/examples/scv/transactions/overview/README
-systemc/examples/scv/transactions/overview/main.cpp
-systemc/examples/scv/transactions/overview/overview.vcproj
-systemc/include/scv/_scv_associative_array.h
-systemc/include/scv/_scv_data_structure.h
-systemc/include/scv/_scv_ext_callbacks.h
-systemc/include/scv/_scv_ext_comp.h
-systemc/include/scv/_scv_ext_rand.h
-systemc/include/scv/_scv_ext_rw.h
-systemc/include/scv/_scv_ext_type.h
-systemc/include/scv/_scv_ext_util.h
-systemc/include/scv/_scv_introspection.h
-systemc/include/scv/_scv_list_iter.h
-systemc/include/scv/_scv_randomization.h
-systemc/include/scv/_scv_smart_ptr.h
-systemc/include/scv/scv_bag.h
-systemc/include/scv/scv_config.h
-systemc/include/scv/scv_constraint.h
-systemc/include/scv/scv_constraint_range.h
-systemc/include/scv/scv_debug.h
-systemc/include/scv/scv_expression.h
-systemc/include/scv/scv_introspection.h
-systemc/include/scv/scv_kit_date.h
-systemc/include/scv/scv_messages.h
-systemc/include/scv/scv_object_if.h
-systemc/include/scv/scv_random.h
-systemc/include/scv/scv_report.h
-systemc/include/scv/scv_shared_ptr.h
-systemc/include/scv/scv_sparse_array.h
-systemc/include/scv/scv_tr.h
-systemc/include/scv/scv_util.h
-systemc/include/scv.h
-systemc/lib-freebsd/libcudd.a
-systemc/lib-freebsd/libdddmp.a
-systemc/lib-freebsd/libmtr.a
-systemc/lib-freebsd/libobj.a
-systemc/lib-freebsd/libscv.so
-systemc/lib-freebsd/libst.a
-systemc/lib-freebsd/libutil.a
-@dirrm systemc/docs/scv/scvref
-@dirrm systemc/docs/scv
-@dirrmtry systemc/docs
-@dirrm systemc/examples/scv/data_structures/scv_bag
-@dirrm systemc/examples/scv/data_structures/scv_sparse_array
-@dirrm systemc/examples/scv/data_structures
-@dirrm systemc/examples/scv/extensions/ex_01_userdata
-@dirrm systemc/examples/scv/extensions/ex_02_enumdata
-@dirrm systemc/examples/scv/extensions/ex_03_nestdata
-@dirrm systemc/examples/scv/extensions/introspection1
-@dirrm systemc/examples/scv/extensions/private
-@dirrm systemc/examples/scv/extensions
-@dirrm systemc/examples/scv/general/hello
-@dirrm systemc/examples/scv/general
-@dirrm systemc/examples/scv/randomization/constraints
-@dirrm systemc/examples/scv/randomization/debug
-@dirrm systemc/examples/scv/randomization/ex_04_simplerand
-@dirrm systemc/examples/scv/randomization/ex_05_disablerand
-@dirrm systemc/examples/scv/randomization/ex_07_seedfile
-@dirrm systemc/examples/scv/randomization/ex_08_dist
-@dirrm systemc/examples/scv/randomization/ex_09_distrange
-@dirrm systemc/examples/scv/randomization/ex_10_basicconstraint
-@dirrm systemc/examples/scv/randomization/ex_11_softconstraint
-@dirrm systemc/examples/scv/randomization/ex_12_hier_constraint
-@dirrm systemc/examples/scv/randomization/ex_13_custom_next
-@dirrm systemc/examples/scv/randomization/pythagoras
-@dirrm systemc/examples/scv/randomization
-@dirrm systemc/examples/scv/transactions/overview
-@dirrm systemc/examples/scv/transactions
-@dirrm systemc/examples/scv
-@dirrm systemc/include/scv
-@dirrmtry systemc/include
-@dirrmtry systemc/lib-freebsd
-@dirrmtry systemc
diff --git a/cad/systemc/Makefile b/cad/systemc/Makefile
deleted file mode 100644
index 87b1a0cfb379..000000000000
--- a/cad/systemc/Makefile
+++ /dev/null
@@ -1,47 +0,0 @@
-# Created by: Daniel Thiele
-# $FreeBSD$
-
-PORTNAME= systemc
-PORTVERSION= 2.2.0
-CATEGORIES= cad devel
-
-MAINTAINER= ports@FreeBSD.org
-COMMENT= Modeling platform for system-level C++ models
-
-RESTRICTED= License agreement required
-
-USES= gmake tar:tgz
-HAS_CONFIGURE= yes
-
-CONFIGURE_SCRIPT= ../configure
-CONFIGURE_ARGS= --prefix=${PREFIX}/systemc ${CONFIGURE_TARGET}
-CONFIGURE_WRKSRC= ${WRKSRC}/objdir
-BUILD_WRKSRC= ${WRKSRC}/objdir
-INSTALL_WRKSRC= ${WRKSRC}/objdir
-
-NO_STAGE= yes
-.include <bsd.port.pre.mk>
-
-.if !exists(${DISTDIR}/${DISTNAME}${EXTRACT_SUFX})
-IGNORE= is restricted. You have to register at http://www.systemc.org/kmembership_info/individual_signup and download ${DISTFILES} from http://www.systemc.org/downloads/standards/ first. Then put ${DISTFILES} into ${DISTDIR} and run make again
-.endif
-
-.if defined(WITH_OPTIMIZED_CFLAGS)
-CXXFLAGS+= -O3
-.endif
-
-pre-everything::
-.if !defined(WITH_OPTIMIZED_CFLAGS)
- @${ECHO_MSG} "You can enable additional compilation optimizations"
- @${ECHO_MSG} "by defining WITH_OPTIMIZED_CFLAGS"
-.endif
-
-pre-configure:
- @${MKDIR} ${WRKSRC}/objdir
- @${REINPLACE_CMD} -e 's|\(OPT_CXXFLAGS="\).*"|\1${CXXFLAGS}"|g' \
- ${CONFIGURE_WRKSRC}/${CONFIGURE_SCRIPT}
-
-post-patch:
- @find ${WRKSRC} -name .DS_Store -delete
-
-.include <bsd.port.post.mk>
diff --git a/cad/systemc/distinfo b/cad/systemc/distinfo
deleted file mode 100644
index d2dfdc106f37..000000000000
--- a/cad/systemc/distinfo
+++ /dev/null
@@ -1,2 +0,0 @@
-SHA256 (systemc-2.2.0.tgz) = fd7db3ac2b547bf54c94c0310f15f079ed59ffa318ed5b0043a65410960859e7
-SIZE (systemc-2.2.0.tgz) = 2050634
diff --git a/cad/systemc/files/patch-configure b/cad/systemc/files/patch-configure
deleted file mode 100644
index 49d164b640ac..000000000000
--- a/cad/systemc/files/patch-configure
+++ /dev/null
@@ -1,36 +0,0 @@
---- configure.orig Sun Feb 26 23:35:41 2006
-+++ configure Sun Feb 26 23:34:39 2006
-@@ -3309,6 +3309,25 @@
- AS=as
- QT_ARCH="sparc-os2"
- ;;
-+ *freebsd*)
-+ case "$CXX_COMP" in
-+ c++ | g++)
-+ EXTRA_CXXFLAGS="-Wall"
-+ DEBUG_CXXFLAGS="-g"
-+ OPT_CXXFLAGS="-O3"
-+ TARGET_ARCH="freebsd"
-+ CC="$CXX"
-+ CFLAGS="$EXTRA_CXXFLAGS $OPT_CXXFLAGS"
-+ ;;
-+ *)
-+ { { echo "$as_me:$LINENO: error: \"sorry...compiler not supported\"" >&5
-+echo "$as_me: error: \"sorry...compiler not supported\"" >&2;}
-+ { (exit 1); exit 1; }; }
-+ ;;
-+ esac
-+ AS=as
-+ QT_ARCH="iX86"
-+ ;;
- *linux*)
- case "$CXX_COMP" in
- c++ | g++)
-@@ -3387,7 +3406,6 @@
-
-
- test "x$prefix" = xNONE && prefix=$srcdir
--current_dir=`pwd`; cd $prefix; prefix=`pwd`; cd $current_dir
-
-
-
diff --git a/cad/systemc/pkg-descr b/cad/systemc/pkg-descr
deleted file mode 100644
index 08b5b851d080..000000000000
--- a/cad/systemc/pkg-descr
+++ /dev/null
@@ -1,9 +0,0 @@
-SystemC provides hardware-oriented constructs within the context of C++
-as a class library implemented in standard C++. Its use spans design and
-verification from concept to implementation in hardware and software.
-
-SystemC provides an interoperable modeling platform which enables the
-development and exchange of very fast system-level C++ models. It also
-provides a stable platform for development of system-level tools.
-
-WWW: http://www.systemc.org/
diff --git a/cad/systemc/pkg-plist b/cad/systemc/pkg-plist
deleted file mode 100644
index c34bdc8100a7..000000000000
--- a/cad/systemc/pkg-plist
+++ /dev/null
@@ -1,355 +0,0 @@
-systemc/AUTHORS
-systemc/COPYING
-systemc/ChangeLog
-systemc/INSTALL
-systemc/LICENSE
-systemc/NEWS
-systemc/README
-systemc/RELEASENOTES
-systemc/docs/FuncSpec20.pdf
-systemc/docs/IEEE1666_specification
-systemc/docs/License.pdf
-systemc/docs/README
-systemc/docs/SystemC_2_1_features.pdf
-systemc/docs/SystemC_2_1_overview.pdf
-systemc/docs/UserGuide20.pdf
-systemc/docs/WhitePaper20.pdf
-systemc/include/sysc/communication/sc_buffer.h
-systemc/include/sysc/communication/sc_clock.h
-systemc/include/sysc/communication/sc_clock_ports.h
-systemc/include/sysc/communication/sc_communication_ids.h
-systemc/include/sysc/communication/sc_event_finder.h
-systemc/include/sysc/communication/sc_event_queue.h
-systemc/include/sysc/communication/sc_export.h
-systemc/include/sysc/communication/sc_fifo.h
-systemc/include/sysc/communication/sc_fifo_ifs.h
-systemc/include/sysc/communication/sc_fifo_ports.h
-systemc/include/sysc/communication/sc_interface.h
-systemc/include/sysc/communication/sc_mutex.h
-systemc/include/sysc/communication/sc_mutex_if.h
-systemc/include/sysc/communication/sc_port.h
-systemc/include/sysc/communication/sc_prim_channel.h
-systemc/include/sysc/communication/sc_semaphore.h
-systemc/include/sysc/communication/sc_semaphore_if.h
-systemc/include/sysc/communication/sc_signal.h
-systemc/include/sysc/communication/sc_signal_ifs.h
-systemc/include/sysc/communication/sc_signal_ports.h
-systemc/include/sysc/communication/sc_signal_resolved.h
-systemc/include/sysc/communication/sc_signal_resolved_ports.h
-systemc/include/sysc/communication/sc_signal_rv.h
-systemc/include/sysc/communication/sc_signal_rv_ports.h
-systemc/include/sysc/datatypes/bit/sc_bit.h
-systemc/include/sysc/datatypes/bit/sc_bit_ids.h
-systemc/include/sysc/datatypes/bit/sc_bit_proxies.h
-systemc/include/sysc/datatypes/bit/sc_bv.h
-systemc/include/sysc/datatypes/bit/sc_bv_base.h
-systemc/include/sysc/datatypes/bit/sc_logic.h
-systemc/include/sysc/datatypes/bit/sc_lv.h
-systemc/include/sysc/datatypes/bit/sc_lv_base.h
-systemc/include/sysc/datatypes/bit/sc_proxy.h
-systemc/include/sysc/datatypes/fx/fx.h
-systemc/include/sysc/datatypes/fx/sc_context.h
-systemc/include/sysc/datatypes/fx/sc_fix.h
-systemc/include/sysc/datatypes/fx/sc_fixed.h
-systemc/include/sysc/datatypes/fx/sc_fx_ids.h
-systemc/include/sysc/datatypes/fx/sc_fxcast_switch.h
-systemc/include/sysc/datatypes/fx/sc_fxdefs.h
-systemc/include/sysc/datatypes/fx/sc_fxnum.h
-systemc/include/sysc/datatypes/fx/sc_fxnum_observer.h
-systemc/include/sysc/datatypes/fx/sc_fxtype_params.h
-systemc/include/sysc/datatypes/fx/sc_fxval.h
-systemc/include/sysc/datatypes/fx/sc_fxval_observer.h
-systemc/include/sysc/datatypes/fx/sc_ufix.h
-systemc/include/sysc/datatypes/fx/sc_ufixed.h
-systemc/include/sysc/datatypes/fx/scfx_ieee.h
-systemc/include/sysc/datatypes/fx/scfx_mant.h
-systemc/include/sysc/datatypes/fx/scfx_other_defs.h
-systemc/include/sysc/datatypes/fx/scfx_params.h
-systemc/include/sysc/datatypes/fx/scfx_rep.h
-systemc/include/sysc/datatypes/fx/scfx_string.h
-systemc/include/sysc/datatypes/fx/scfx_utils.h
-systemc/include/sysc/datatypes/int/sc_bigint.h
-systemc/include/sysc/datatypes/int/sc_biguint.h
-systemc/include/sysc/datatypes/int/sc_int.h
-systemc/include/sysc/datatypes/int/sc_int_base.h
-systemc/include/sysc/datatypes/int/sc_int_ids.h
-systemc/include/sysc/datatypes/int/sc_length_param.h
-systemc/include/sysc/datatypes/int/sc_nbdefs.h
-systemc/include/sysc/datatypes/int/sc_nbexterns.h
-systemc/include/sysc/datatypes/int/sc_nbutils.h
-systemc/include/sysc/datatypes/int/sc_signed.h
-systemc/include/sysc/datatypes/int/sc_uint.h
-systemc/include/sysc/datatypes/int/sc_uint_base.h
-systemc/include/sysc/datatypes/int/sc_unsigned.h
-systemc/include/sysc/datatypes/misc/sc_concatref.h
-systemc/include/sysc/datatypes/misc/sc_value_base.h
-systemc/include/sysc/kernel/sc_attribute.h
-systemc/include/sysc/kernel/sc_boost.h
-systemc/include/sysc/kernel/sc_cmnhdr.h
-systemc/include/sysc/kernel/sc_constants.h
-systemc/include/sysc/kernel/sc_cor.h
-systemc/include/sysc/kernel/sc_dynamic_processes.h
-systemc/include/sysc/kernel/sc_event.h
-systemc/include/sysc/kernel/sc_except.h
-systemc/include/sysc/kernel/sc_externs.h
-systemc/include/sysc/kernel/sc_join.h
-systemc/include/sysc/kernel/sc_kernel_ids.h
-systemc/include/sysc/kernel/sc_macros.h
-systemc/include/sysc/kernel/sc_module.h
-systemc/include/sysc/kernel/sc_module_name.h
-systemc/include/sysc/kernel/sc_object.h
-systemc/include/sysc/kernel/sc_process.h
-systemc/include/sysc/kernel/sc_process_handle.h
-systemc/include/sysc/kernel/sc_reset.h
-systemc/include/sysc/kernel/sc_runnable.h
-systemc/include/sysc/kernel/sc_sensitive.h
-systemc/include/sysc/kernel/sc_simcontext.h
-systemc/include/sysc/kernel/sc_spawn.h
-systemc/include/sysc/kernel/sc_spawn_options.h
-systemc/include/sysc/kernel/sc_time.h
-systemc/include/sysc/kernel/sc_ver.h
-systemc/include/sysc/kernel/sc_wait.h
-systemc/include/sysc/kernel/sc_wait_cthread.h
-systemc/include/sysc/packages/boost/assert.hpp
-systemc/include/sysc/packages/boost/bind.hpp
-systemc/include/sysc/packages/boost/bind/apply.hpp
-systemc/include/sysc/packages/boost/bind/arg.hpp
-systemc/include/sysc/packages/boost/bind/bind_cc.hpp
-systemc/include/sysc/packages/boost/bind/bind_mf_cc.hpp
-systemc/include/sysc/packages/boost/bind/bind_template.hpp
-systemc/include/sysc/packages/boost/bind/make_adaptable.hpp
-systemc/include/sysc/packages/boost/bind/mem_fn_cc.hpp
-systemc/include/sysc/packages/boost/bind/mem_fn_template.hpp
-systemc/include/sysc/packages/boost/bind/mem_fn_vw.hpp
-systemc/include/sysc/packages/boost/bind/placeholders.hpp
-systemc/include/sysc/packages/boost/bind/protect.hpp
-systemc/include/sysc/packages/boost/checked_delete.hpp
-systemc/include/sysc/packages/boost/config.hpp
-systemc/include/sysc/packages/boost/config/compiler/borland.hpp
-systemc/include/sysc/packages/boost/config/compiler/comeau.hpp
-systemc/include/sysc/packages/boost/config/compiler/common_edg.hpp
-systemc/include/sysc/packages/boost/config/compiler/compaq_cxx.hpp
-systemc/include/sysc/packages/boost/config/compiler/digitalmars.hpp
-systemc/include/sysc/packages/boost/config/compiler/gcc.hpp
-systemc/include/sysc/packages/boost/config/compiler/greenhills.hpp
-systemc/include/sysc/packages/boost/config/compiler/hp_acc.hpp
-systemc/include/sysc/packages/boost/config/compiler/intel.hpp
-systemc/include/sysc/packages/boost/config/compiler/kai.hpp
-systemc/include/sysc/packages/boost/config/compiler/metrowerks.hpp
-systemc/include/sysc/packages/boost/config/compiler/mpw.hpp
-systemc/include/sysc/packages/boost/config/compiler/sgi_mipspro.hpp
-systemc/include/sysc/packages/boost/config/compiler/sunpro_cc.hpp
-systemc/include/sysc/packages/boost/config/compiler/vacpp.hpp
-systemc/include/sysc/packages/boost/config/compiler/visualc.hpp
-systemc/include/sysc/packages/boost/config/platform/aix.hpp
-systemc/include/sysc/packages/boost/config/platform/amigaos.hpp
-systemc/include/sysc/packages/boost/config/platform/beos.hpp
-systemc/include/sysc/packages/boost/config/platform/bsd.hpp
-systemc/include/sysc/packages/boost/config/platform/cygwin.hpp
-systemc/include/sysc/packages/boost/config/platform/hpux.hpp
-systemc/include/sysc/packages/boost/config/platform/irix.hpp
-systemc/include/sysc/packages/boost/config/platform/linux.hpp
-systemc/include/sysc/packages/boost/config/platform/macos.hpp
-systemc/include/sysc/packages/boost/config/platform/solaris.hpp
-systemc/include/sysc/packages/boost/config/platform/win32.hpp
-systemc/include/sysc/packages/boost/config/posix_features.hpp
-systemc/include/sysc/packages/boost/config/select_compiler_config.hpp
-systemc/include/sysc/packages/boost/config/select_platform_config.hpp
-systemc/include/sysc/packages/boost/config/select_stdlib_config.hpp
-systemc/include/sysc/packages/boost/config/stdlib/dinkumware.hpp
-systemc/include/sysc/packages/boost/config/stdlib/libcomo.hpp
-systemc/include/sysc/packages/boost/config/stdlib/libstdcpp3.hpp
-systemc/include/sysc/packages/boost/config/stdlib/modena.hpp
-systemc/include/sysc/packages/boost/config/stdlib/msl.hpp
-systemc/include/sysc/packages/boost/config/stdlib/roguewave.hpp
-systemc/include/sysc/packages/boost/config/stdlib/sgi.hpp
-systemc/include/sysc/packages/boost/config/stdlib/stlport.hpp
-systemc/include/sysc/packages/boost/config/stdlib/vacpp.hpp
-systemc/include/sysc/packages/boost/config/suffix.hpp
-systemc/include/sysc/packages/boost/config/user.hpp
-systemc/include/sysc/packages/boost/current_function.hpp
-systemc/include/sysc/packages/boost/detail/algorithm.hpp
-systemc/include/sysc/packages/boost/detail/allocator_utilities.hpp
-systemc/include/sysc/packages/boost/detail/atomic_count.hpp
-systemc/include/sysc/packages/boost/detail/atomic_count_gcc.hpp
-systemc/include/sysc/packages/boost/detail/atomic_count_pthreads.hpp
-systemc/include/sysc/packages/boost/detail/atomic_count_win32.hpp
-systemc/include/sysc/packages/boost/detail/bad_weak_ptr.hpp
-systemc/include/sysc/packages/boost/detail/binary_search.hpp
-systemc/include/sysc/packages/boost/detail/call_traits.hpp
-systemc/include/sysc/packages/boost/detail/catch_exceptions.hpp
-systemc/include/sysc/packages/boost/detail/compressed_pair.hpp
-systemc/include/sysc/packages/boost/detail/dynamic_bitset.hpp
-systemc/include/sysc/packages/boost/detail/endian.hpp
-systemc/include/sysc/packages/boost/detail/indirect_traits.hpp
-systemc/include/sysc/packages/boost/detail/interlocked.hpp
-systemc/include/sysc/packages/boost/detail/is_function_ref_tester.hpp
-systemc/include/sysc/packages/boost/detail/is_incrementable.hpp
-systemc/include/sysc/packages/boost/detail/is_xxx.hpp
-systemc/include/sysc/packages/boost/detail/iterator.hpp
-systemc/include/sysc/packages/boost/detail/lightweight_mutex.hpp
-systemc/include/sysc/packages/boost/detail/lightweight_test.hpp
-systemc/include/sysc/packages/boost/detail/limits.hpp
-systemc/include/sysc/packages/boost/detail/lwm_nop.hpp
-systemc/include/sysc/packages/boost/detail/lwm_pthreads.hpp
-systemc/include/sysc/packages/boost/detail/lwm_win32_cs.hpp
-systemc/include/sysc/packages/boost/detail/named_template_params.hpp
-systemc/include/sysc/packages/boost/detail/no_exceptions_support.hpp
-systemc/include/sysc/packages/boost/detail/none_t.hpp
-systemc/include/sysc/packages/boost/detail/numeric_traits.hpp
-systemc/include/sysc/packages/boost/detail/ob_call_traits.hpp
-systemc/include/sysc/packages/boost/detail/ob_compressed_pair.hpp
-systemc/include/sysc/packages/boost/detail/quick_allocator.hpp
-systemc/include/sysc/packages/boost/detail/reference_content.hpp
-systemc/include/sysc/packages/boost/detail/select_type.hpp
-systemc/include/sysc/packages/boost/detail/shared_array_nmt.hpp
-systemc/include/sysc/packages/boost/detail/shared_count.hpp
-systemc/include/sysc/packages/boost/detail/shared_ptr_nmt.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_cw_ppc.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_cw_x86.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_gcc_ia64.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_gcc_ppc.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_gcc_x86.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_nt.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_pt.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_base_w32.hpp
-systemc/include/sysc/packages/boost/detail/sp_counted_impl.hpp
-systemc/include/sysc/packages/boost/detail/templated_streams.hpp
-systemc/include/sysc/packages/boost/detail/utf8_codecvt_facet.hpp
-systemc/include/sysc/packages/boost/detail/workaround.hpp
-systemc/include/sysc/packages/boost/get_pointer.hpp
-systemc/include/sysc/packages/boost/mem_fn.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/adl_barrier.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/config/adl.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/config/gcc.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/config/intel.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/config/msvc.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/config/static_constant.hpp
-systemc/include/sysc/packages/boost/mpl/aux_/config/workaround.hpp
-systemc/include/sysc/packages/boost/mpl/bool.hpp
-systemc/include/sysc/packages/boost/mpl/bool_fwd.hpp
-systemc/include/sysc/packages/boost/mpl/integral_c_tag.hpp
-systemc/include/sysc/packages/boost/non_type.hpp
-systemc/include/sysc/packages/boost/ref.hpp
-systemc/include/sysc/packages/boost/shared_ptr.hpp
-systemc/include/sysc/packages/boost/throw_exception.hpp
-systemc/include/sysc/packages/boost/type.hpp
-systemc/include/sysc/packages/boost/utility/addressof.hpp
-systemc/include/sysc/packages/boost/utility/base_from_member.hpp
-systemc/include/sysc/packages/boost/utility/compare_pointees.hpp
-systemc/include/sysc/packages/boost/utility/detail/in_place_factory_prefix.hpp
-systemc/include/sysc/packages/boost/utility/detail/in_place_factory_suffix.hpp
-systemc/include/sysc/packages/boost/utility/detail/result_of_iterate.hpp
-systemc/include/sysc/packages/boost/utility/enable_if.hpp
-systemc/include/sysc/packages/boost/utility/in_place_factory.hpp
-systemc/include/sysc/packages/boost/utility/result_of.hpp
-systemc/include/sysc/packages/boost/utility/typed_in_place_factory.hpp
-systemc/include/sysc/packages/boost/utility/value_init.hpp
-systemc/include/sysc/packages/boost/weak_ptr.hpp
-systemc/include/sysc/qt/md/axp.1.Makefile
-systemc/include/sysc/qt/md/axp.2.Makefile
-systemc/include/sysc/qt/md/axp.Makefile
-systemc/include/sysc/qt/md/axp.README
-systemc/include/sysc/qt/md/axp.c
-systemc/include/sysc/qt/md/axp.h
-systemc/include/sysc/qt/md/axp.s
-systemc/include/sysc/qt/md/axp_b.s
-systemc/include/sysc/qt/md/default.Makefile
-systemc/include/sysc/qt/md/hppa-cnx.Makefile
-systemc/include/sysc/qt/md/hppa.Makefile
-systemc/include/sysc/qt/md/hppa.h
-systemc/include/sysc/qt/md/hppa.s
-systemc/include/sysc/qt/md/hppa_b.s
-systemc/include/sysc/qt/md/i386.README
-systemc/include/sysc/qt/md/i386.h
-systemc/include/sysc/qt/md/i386.s
-systemc/include/sysc/qt/md/i386_b.s
-systemc/include/sysc/qt/md/iX86_64.h
-systemc/include/sysc/qt/md/iX86_64.s
-systemc/include/sysc/qt/md/ksr1.Makefile
-systemc/include/sysc/qt/md/ksr1.h
-systemc/include/sysc/qt/md/ksr1.s
-systemc/include/sysc/qt/md/ksr1_b.s
-systemc/include/sysc/qt/md/m88k.Makefile
-systemc/include/sysc/qt/md/m88k.c
-systemc/include/sysc/qt/md/m88k.h
-systemc/include/sysc/qt/md/m88k.s
-systemc/include/sysc/qt/md/m88k_b.s
-systemc/include/sysc/qt/md/mips-irix5.s
-systemc/include/sysc/qt/md/mips.h
-systemc/include/sysc/qt/md/mips.s
-systemc/include/sysc/qt/md/mips_b.s
-systemc/include/sysc/qt/md/null.README
-systemc/include/sysc/qt/md/null.c
-systemc/include/sysc/qt/md/null.s
-systemc/include/sysc/qt/md/powerpc.README
-systemc/include/sysc/qt/md/powerpc.c
-systemc/include/sysc/qt/md/powerpc_mach.h
-systemc/include/sysc/qt/md/powerpc_mach.s
-systemc/include/sysc/qt/md/powerpc_mach_b.s
-systemc/include/sysc/qt/md/powerpc_sys5.h
-systemc/include/sysc/qt/md/powerpc_sys5.s
-systemc/include/sysc/qt/md/powerpc_sys5_b.s
-systemc/include/sysc/qt/md/pthreads.Makefile
-systemc/include/sysc/qt/md/qtmd.h
-systemc/include/sysc/qt/md/solaris.README
-systemc/include/sysc/qt/md/sparc.h
-systemc/include/sysc/qt/md/sparc.s
-systemc/include/sysc/qt/md/sparc_b.s
-systemc/include/sysc/qt/md/vax.h
-systemc/include/sysc/qt/md/vax.s
-systemc/include/sysc/qt/md/vax_b.s
-systemc/include/sysc/qt/qt.h
-systemc/include/sysc/qt/qtmd.h
-systemc/include/sysc/tracing/sc_trace.h
-systemc/include/sysc/tracing/sc_vcd_trace.h
-systemc/include/sysc/tracing/sc_wif_trace.h
-systemc/include/sysc/utils/sc_hash.h
-systemc/include/sysc/utils/sc_iostream.h
-systemc/include/sysc/utils/sc_list.h
-systemc/include/sysc/utils/sc_machine.h
-systemc/include/sysc/utils/sc_mempool.h
-systemc/include/sysc/utils/sc_pq.h
-systemc/include/sysc/utils/sc_report.h
-systemc/include/sysc/utils/sc_report_handler.h
-systemc/include/sysc/utils/sc_string.h
-systemc/include/sysc/utils/sc_temporary.h
-systemc/include/sysc/utils/sc_utils_ids.h
-systemc/include/sysc/utils/sc_vector.h
-systemc/include/systemc
-systemc/include/systemc.h
-systemc/lib-freebsd/libsystemc.a
-@dirrmtry systemc/docs
-@dirrmtry systemc/examples/sysc/2.1
-@dirrmtry systemc/examples/sysc/fft
-@dirrmtry systemc/examples/sysc
-@dirrmtry systemc/examples
-@dirrm systemc/include/sysc/communication
-@dirrm systemc/include/sysc/datatypes/bit
-@dirrm systemc/include/sysc/datatypes/fx
-@dirrm systemc/include/sysc/datatypes/int
-@dirrm systemc/include/sysc/datatypes/misc
-@dirrm systemc/include/sysc/datatypes
-@dirrm systemc/include/sysc/kernel
-@dirrm systemc/include/sysc/packages/boost/bind
-@dirrm systemc/include/sysc/packages/boost/config/compiler
-@dirrm systemc/include/sysc/packages/boost/config/platform
-@dirrm systemc/include/sysc/packages/boost/config/stdlib
-@dirrm systemc/include/sysc/packages/boost/config
-@dirrm systemc/include/sysc/packages/boost/detail
-@dirrm systemc/include/sysc/packages/boost/mpl/aux_/config
-@dirrm systemc/include/sysc/packages/boost/mpl/aux_
-@dirrm systemc/include/sysc/packages/boost/mpl
-@dirrm systemc/include/sysc/packages/boost/utility/detail
-@dirrm systemc/include/sysc/packages/boost/utility
-@dirrm systemc/include/sysc/packages/boost
-@dirrm systemc/include/sysc/packages
-@dirrm systemc/include/sysc/qt/md
-@dirrm systemc/include/sysc/qt
-@dirrm systemc/include/sysc/tracing
-@dirrm systemc/include/sysc/utils
-@dirrm systemc/include/sysc
-@dirrmtry systemc/include
-@dirrmtry systemc/lib-freebsd
-@dirrmtry systemc