aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorYuri Victorovich <yuri@FreeBSD.org>2022-04-08 07:20:20 +0000
committerYuri Victorovich <yuri@FreeBSD.org>2022-04-08 07:46:03 +0000
commitca48dbec43583d921ebbe60ba76416beefc0095f (patch)
tree065647fce735938841667f5187bf908c3d0324b8
parent4a4b947f892f3f5495c7e3982b1ef0385ada6a8b (diff)
downloadports-ca48dbec43583d921ebbe60ba76416beefc0095f.tar.gz
ports-ca48dbec43583d921ebbe60ba76416beefc0095f.zip
cad/yosys: Update 0.15 -> 0.16
Reported by: portscout
-rw-r--r--cad/yosys/Makefile2
-rw-r--r--cad/yosys/distinfo6
-rw-r--r--cad/yosys/pkg-plist1
3 files changed, 5 insertions, 4 deletions
diff --git a/cad/yosys/Makefile b/cad/yosys/Makefile
index b9bd58265b8f..39b094c86f33 100644
--- a/cad/yosys/Makefile
+++ b/cad/yosys/Makefile
@@ -2,7 +2,7 @@
PORTNAME= yosys
DISTVERSIONPREFIX= yosys-
-DISTVERSION= 0.15
+DISTVERSION= 0.16
CATEGORIES= cad
MAINTAINER= yuri@FreeBSD.org
diff --git a/cad/yosys/distinfo b/cad/yosys/distinfo
index de73e2a18109..e83cbac32fd6 100644
--- a/cad/yosys/distinfo
+++ b/cad/yosys/distinfo
@@ -1,3 +1,3 @@
-TIMESTAMP = 1646524327
-SHA256 (YosysHQ-yosys-yosys-0.15_GH0.tar.gz) = a40fcc487d2a31c2abc6f61d39a84e262f2650e40de479542bbde317308c4612
-SIZE (YosysHQ-yosys-yosys-0.15_GH0.tar.gz) = 2245412
+TIMESTAMP = 1649399821
+SHA256 (YosysHQ-yosys-yosys-0.16_GH0.tar.gz) = c7a161e5f567b853a18be8417b60d31ce77804994dafc93306b897ddc335aa3c
+SIZE (YosysHQ-yosys-yosys-0.16_GH0.tar.gz) = 2254856
diff --git a/cad/yosys/pkg-plist b/cad/yosys/pkg-plist
index ecef9a6c207a..0d804d42c397 100644
--- a/cad/yosys/pkg-plist
+++ b/cad/yosys/pkg-plist
@@ -152,6 +152,7 @@ bin/yosys-smtbmc
%%DATADIR%%/intel_alm/common/alm_sim.v
%%DATADIR%%/intel_alm/common/arith_alm_map.v
%%DATADIR%%/intel_alm/common/bram_m10k.txt
+%%DATADIR%%/intel_alm/common/bram_m10k_map.v
%%DATADIR%%/intel_alm/common/bram_m20k.txt
%%DATADIR%%/intel_alm/common/bram_m20k_map.v
%%DATADIR%%/intel_alm/common/dff_map.v